Posted on

Synthesis of Power Distribution to Manage Signal Integrity by Balsha R. Stanisic

By Balsha R. Stanisic

In the early days of VLSI, the layout of the facility distribution for an built-in cir­ cuit used to be fairly uncomplicated. energy distribution --the layout of the geometric topology for the community of wires that attach some of the strength provides, the widths of the indi­ vidual segments for every of those wires, the quantity and site of the facility I/O pins round the outer edge of the chip --was easy as the chips have been less complicated. Few on hand wiring layers compelled floorplans that allowed basic, planar (non-over­ lapping) strength networks. decrease speeds and circuit density made the alternative of the cord widths more uncomplicated: we made them simply fats sufficient to prevent resistive voltage drops because of switching currents within the provide community. And we simply did not want huge, immense num­ bers of strength and flooring pins at the package deal for the chips to paintings. it isn't so uncomplicated to any extent further. elevated integration has compelled us to target reliability matters similar to steel elec­ tromigration, which impacts cord sizing judgements within the strength community. additional steel layers have allowed extra flexibility within the topological format of the facility networks.

Show description

Read Online or Download Synthesis of Power Distribution to Manage Signal Integrity in Mixed-Signal ICs PDF

Best cad books

Digital Design and Modeling with VHDL and Synthesis

Electronic platforms layout with VHDL and Synthesis offers an built-in method of electronic layout rules, tactics, and implementations to aid the reader layout even more advanced structures inside of a shorter layout cycle. this can be finished by means of introducing electronic layout strategies, VHDL coding, VHDL simulation, synthesis instructions, and techniques jointly.

Low-Power High-Resolution Analog to Digital Converters: Design, Test and Calibration

With the short development of CMOS fabrication expertise, a growing number of signal-processing features are carried out within the electronic area for a cheaper price, reduce energy intake, better yield, and better re-configurability. This has lately generated an exceptional call for for low-power, low-voltage A/D converters that may be learned in a mainstream deep-submicron CMOS know-how.

CAD Tools and Algorithms for Product Design

Platforms to aid the regularly shrinking product improvement cycles and the expanding caliber standards want major improvements and new methods. during this ebook very important new instruments and algorithms for destiny product modeling platforms are provided. it's in accordance with a seminar on the overseas convention and examine heart for desktop technology, Schloß Dagstuhl, Germany, awarded by way of across the world famous specialists in CAD know-how.

Additional resources for Synthesis of Power Distribution to Manage Signal Integrity in Mixed-Signal ICs

Sample text

35]. 14]. 5 Critical Analysis We have described design style and analog power distribution concerns. We have also reviewed previous research in analog power distribution synthesis. Now, we critically analyze how well previous research methods address these concerns. 5 . D macrocell design style. 23]. Clearly, this design style coverage is IUltiquated and must be expanded to support recent advances in these design styles. Finally, no single method can be applied to all design styles. This forces the designer to move between methods when designs require different physical design styles.

1 Physical Design Concerns The power distribution layout must be minimal so as not to significantly impact the subsequent ~ignal routing. Parasitic electrical effects cause power busses to consume significant touting resources. They may also force numerous power 110 cell assignments, thereby reducing signal 110 resources. 3 , along with layout optimizations which can mitigate them and prevent an unnecessary increase in overall chip size. 3 Layout optimizations address design concerns. &lgn Optimizations Sufficient routing area for Signal nets Low congestion In channels for signal nets Power bus topology selection >I< >I< >I< >1<>1< Power bus segment width sizing >1<>1<>1< >I< >I< Channel sharing * >I< >I< Power I/O cell assignment >I< >I< >I< >I< >I< Sufficient 110 for signals and power >I< >I< * >I< >1<>1<>1< To meet electrical constraints and provide sufficient signal routing area in channels and in over·the-cell areas, the designer must optimize the power bus topology, power bus sizing, and power 110 pad assignment.

26]. Some may argue that current references should solely control circuit biases. This design practice is useful for small chips and usually reserved for sensitive analog macros on larger chips. However, the additional power and routing cost associated with this approach makes it impractical for ubiquitous use. Lastly, one can constrain this problem by adjusting power bus topologies and sizing them to reduce mismatch between reference generating macros and reference sensing macros. The last set of electrical concerns center on mixed-signal environments.

Download PDF sample

Rated 4.60 of 5 – based on 44 votes